서지주요정보
염소계 플라즈마를 이용한 구리박막의 건식 식각기구에 관한 연구 = A study on the dry etching mechanism of copper film in chlorine-based plasma
서명 / 저자 염소계 플라즈마를 이용한 구리박막의 건식 식각기구에 관한 연구 = A study on the dry etching mechanism of copper film in chlorine-based plasma / 이성권.
발행사항 [대전 : 한국과학기술원, 1997].
Online Access 원문보기 원문인쇄

소장정보

등록번호

8007410

소장위치/청구기호

학술문화관(문화관) 보존서고

DMS 97018

휴대폰 전송

도서상태

이용가능(대출불가)

사유안내

반납예정일

리뷰정보

초록정보

As the patterning scheme of integrated circuit (IC) reaches deep submicron dimension, copper is being considered as an alternative to aluminum for interconnect metallization in future generations of deep sub-micron ultra large scale intergrated (ULSI) due to its lower electrical conductivity and higher electromigration resistance. However, there are some challenging issues for the use of this material, such as thin film processing using chemical vapor deposition (CVD) for a conformal step coverage, development of copper patterning technology and prevention of oxidation and corrosion. Especially the patterning of copper film is now in very early stage of development. More systematic research is needed in dry etching of copper film, especially regarding the etching mechanism. In this research, chlorine-based electron cyclotron resonance (ECR) etching method is used to etch Cu thin film. The ECR plasma has advantages over the conventional RF plasma by its high plasma density, high directionality and independent control of ion energy without disturbing the plasma. In order to investigated the reactive ion etching mechanism of the coppper film in chlorine-based plasma, the dependence of the copper etch rate on various etching parameters, the etch products as well as their depth distributions and the concentration of chlorine radicals in the plasma were examined. The chemical composition, microstucture of the etched copper films were investigated using XPS, AES, SEM and AFM. In order to carry out reactive ion etching of copper film in chlorine-based plasma the substrate temperature should be above 210℃, below which the etch product has too low vapor pressure to be volatile. At the substrate temperature above 210℃, the copper etch rate is not limited by the removal rate of the etch product but limited by its formation rate which depends on the concentration of chlorine radicals and the reaction rate between the etching species and the copper film. The etch rate of the copper films also increases with $CCl_4$ flow rate and shows good correlation with the atomic chlorine concentration, which suggests that atomic chlorine is the main etching species for the copper film and the etching of copper film in $CCl_4$ plasma at this high substrate temperature is limited by the formation rate of etch product rather than its removal rate. The etch rate is also increased by applying a negative bias to the substrate, the role of which is the enhancement of the formation rate of the etch product by activating chemical reactions due to energetic ion bombardment. Adding small amounts of $CF_4$ to $CCl_4$ plasma increases the etch rate dependence on ion bombardment energy by forming involatile copper fluoride on the etched surface. It was found that the etch product formed at 220℃ dry etching experiment is not CuCl but $CuCl_2$. Because of its high vapor pressure at this high substrate temperature, the surface content of $CuCl_2$ is relatively very small and decreases quite rapidly with depth, being confined in the top surface region of only about 2nm. On the other hand, the etch product layer formed at 25℃ is mostly CuCl, but the content of $CuCl_2$ is very high at the "surface" (i.e., at zero sputtering time). The distribution of copper chloride formed at 25℃ etching experiment can be considered that $CuCl_2$ is the etch product which forms at the specimen surface from the reaction between Cl and Cu atoms, however $CuCl_2$ is continuously converted to CuCl by the reaction with Cu atoms diffusing to the surface (note that this reaction is thermodynamically favorable), considered that the etch product which forms at 220℃ where metallic Cu atoms are sufficiently supplied is $CuCl_2$.

서지기타정보

서지기타정보
청구기호 {DMS 97018
형태사항 iii, 134 p. : 삽화 ; 26 cm
언어 한국어
일반주기 저자명의 영문표기 : Sung-Kwon Lee
지도교수의 한글표기 : 이원종
지도교수의 영문표기 : Won-Jong Lee
학위논문 학위논문(박사) - 한국과학기술원 : 재료공학과,
서지주기 참고문헌 : p. 129-134
QR CODE

책소개

전체보기

목차

전체보기

이 주제의 인기대출도서