서지주요정보
Clock mesh design for multi-level clock gating = 다계층 클락 게이팅이 적용된 회로를 위한 클락 메쉬의 설계
서명 / 저자 Clock mesh design for multi-level clock gating = 다계층 클락 게이팅이 적용된 회로를 위한 클락 메쉬의 설계 / Dong-Soo Lee.
발행사항 [대전 : 한국과학기술원, 2014].
Online Access 원문보기 원문인쇄

소장정보

등록번호

8027148

소장위치/청구기호

학술문화관(문화관) 보존서고

MEE 14127

휴대폰 전송

도서상태

이용가능(대출불가)

사유안내

반납예정일

리뷰정보

초록정보

Power and clock skew are most commonly mentioned challenges in VLSI design. Clock gating is one of the most popular technique for power reduction. Clock gating shuts down a clock network that drives sequential elements in unswitching state to reduce clock power consumption. Clock mesh is one of the clock distribution network utilizes mesh shaped wire grids for clock distribution. Clock signals arrive at mesh grid differently, but mesh grids averages these signals and therefore, resulting clock skew is quite smaller than normal clock tree. In this thesis, clock mesh is applied to hierarchically clock gated circuit. Three methods are proposed: single mesh, mulitple mesh (overlapped and non-overlapped.) The purpose of this thesis is suggest a guideline for designers who wants to implement a clock mesh to their design, which employs clock gating. Power consumption, clock skew, wirelengths of clock network, timing closure of these design methods will be discussed experimentally. Single consumes 16.2% more power than overlapped mesh because overlapped mesh has more gating chances to gate clock network including mesh grid and premesh tree. However, overlapped mesh’s clock wirelengths, clock skew, and, design time are larger than single mesh’s because of mulitple mesh implementation. In addition, floorplanning can be employed before placement, to get a non-overlapped mesh implementation. Floorplanning limits module’s area to assigned areas, therefore, meshes are not overlapped in non-overlapped mesh implementation. As a result, overlapped mesh consumes 4.7% more power than non-overlapped mesh method. However, designer must decide whether or not to use this method at early design stage because, critical path delay increases. Mesh power estimation method is also proposed: single and overlapeed mesh’s mesh grid switching capacitance are compared before actual mesh construction.

클럭 네트워크의 회로 전체의 전력 소모의 상당 부분을 차지 한다. 클럭 게이팅은 전력 소모 절감 기술의 하나로, 스위칭하지 않는 순차회로로 전달되는 클럭 신호를 차단하여, 해당 클럭 네트워크에서 소모하는 전력을 줄이는 기술이다. 클럭 메쉬 네트워크는 메쉬 그리드를 사용하는 클럭 전달 방법이다. 메쉬 그리드는 체 모양의 연결선이다. 클럭 소스로 부터 출발한 클럭 신호는 중간 클럭 트리를 거치면서 버퍼의 지연시간 차이 때문에 서로 다른 시간에 메쉬 그리드에 도착한다. 메쉬 그리드는 이들 신호들을 하나로 합치는 역할을하여, 신호들 간의 도착시간 차이를 제거한다. 이 논문에서는, 계층적 클럭 게이팅을 사용한 회로에 클럭 메쉬를 적용하는 방법을 실험적으로 탐색해보았다. 또한, 어떤 방법으로 클럭 메쉬를 만드는 것이 좋은지에 대한 지침을 제시하는 것을 목표로 하였다. 단일, 플로어플래닝을 사용하지 않은 다중 메쉬, 플로어플래닝을 사용한 다중 메쉬의 세 가지 방법을 제안한다. 이 들 방식의 전력 소모량, 클럭 스큐, 배선 길이, 크리티컬 패스 딜레이를 실험적으로 비교해보았다. 단일 메쉬 방식은 다중 메쉬 방식에 비해 16.2% 더 많은 전력을 소모 했는데, 다중 메쉬 방식에 비해 단일 메쉬 방식이 게이팅 기회가 적기 때문이다. 하지만, 단일 메쉬의 배선 길이, 클럭 스큐, 설계 시간은 다중 메쉬 보다 더 작았다. 메쉬 그리드는 클럭 트리 중간에 위치하는 체 모양의 배선이다. 체 모양의 배선이 평균화 효과에 의해 클럭 스큐를 감소시키기는 하나, 과도한 배선의 사용은 클럭 트리에 더 큰 부담으로 작용한다. 플로어플래닝을 통해 각 모듈의 위치를 디자이너가 지정한 위치로 제한하면, 메쉬 그리드의 위치를 모듈 영역안으로 제한 할 수 있다. 이를 통해 메쉬 그리드의 크기를 줄일 수 있으며, 플로어플래닝을 하지 않은 경우와 비교해 추가로 4.7%의 전력 소모를 절감 할 수 있다. 메쉬의 소모 전력을 예측하기 위해 스위칭 커패시턴스를 도입하였다. 메쉬 그리드의 스위칭 커패시턴스를 예측하여 단일 메쉬 방식과 다중 메쉬 방식의 소모 전력을 미리 예상 할 수 있다. 회로 설계자는 예측 정보를 통해 어떤 메쉬 구조가 제일 적합한지 알 수 있고, 최대의 전력 소모 절감 효과를 얻을 수 있다.

서지기타정보

서지기타정보
청구기호 {MEE 14127
형태사항 iv, 43 p. : 삽화 ; 30 cm
언어 영어
일반주기 저자명의 한글표기 : 이동수
지도교수의 영문표기 : Young-Soo Shin
지도교수의 한글표기 : 신영수
학위논문 학위논문(석사) - 한국과학기술원 : 전기및전자공학과,
서지주기 References : p. 38-39
QR CODE

책소개

전체보기

목차

전체보기

이 주제의 인기대출도서