서지주요정보
Energy optimization framework for eDRAM based PIM with reconfigurable voltage swing and retention-aware scheduling = eDRAM 기반 PIM을 위한 재구성 가능한 전압 스윙 및 데이터 보존 시간-인식 스케줄링을 갖춘 에너지 최적화 프레임워크
서명 / 저자 Energy optimization framework for eDRAM based PIM with reconfigurable voltage swing and retention-aware scheduling = eDRAM 기반 PIM을 위한 재구성 가능한 전압 스윙 및 데이터 보존 시간-인식 스케줄링을 갖춘 에너지 최적화 프레임워크 / Jae-Young Kim.
발행사항 [대전 : 한국과학기술원, 2024].
Online Access 원문보기 원문인쇄

소장정보

등록번호

8042175

소장위치/청구기호

학술문화관(도서관)2층 학위논문

MEE 24063

휴대폰 전송

도서상태

이용가능(대출불가)

사유안내

반납예정일

리뷰정보

초록정보

Processing-in-memory (PIM) has demonstrated its high usage in accelerating data intensive applications such as transformer. Previous works mainly focus on optimizing the computational part of PIM to achieve higher energy efficiency. However, the importance of memory design, which consumes the most power in PIM operation, has been rather neglected. In this work, we propose an energy optimization framework for eDRAM based PIM called RED, featuring a novel reconfigurable eDRAM and retention-aware scheduling. The RED framework dynamically adjusts eDRAM operations to match specific use cases, significantly improving power efficiency. It estimates overall energy consumption across all possible tiling schemes and memory operations, pinpointing the most energy-efficient operating point. Reconfigurable eDRAM demonstrates a reduction in power consumption of memory access by up to 71.31%. Additionally, by selecting the best tiling scheme and memory operation through our energy modeling, RED achieves 1.84×-3.03× higher energy efficiency compared to the baseline.

프로세싱-인-메모리 (PIM)은 트랜스포머와 같이 메모리에 성능에 제한되는 애플리케이션을 가속화하는 데 높은 활용도를 보여왔다. 이전 연구들은 주로 에너지 효율을 높이기 위해 PIM의 연산기를 최적화하는 데 중점을 둔다. 하지만 PIM 동작에서 가장 많은 전력을 소비하는 메모리 설계의 중요성은 다소 소홀이 다루어져왔다. 본 논문에서는 재구성 가능한 eDRAM 및 보존 시간-인식 스케줄링을 특징으로 하는 RED라는 eDRAM 기반 PIM을 위한 에너지 최적화 프레임워크를 제안한다. RED 프레임워크는 특정 사용 사례에 맞게 eDRAM 동작을 동적으로 조정하여 전력 효율을 크게 개선한다. 이 프레임워크는 가능한 모든 타일링 방식과 eDRAM 동작 별 전체 에너지 소모량을 추정하여 가장 에너지 효율적인 동작 지점을 찾아낸다. 본 논문에서 제안하는 재구성 가능한 eDRAM은 메모리 접근 전력 소비를 최대 71.31% 감소시킨다. 또한, 에너지 모델리을 통해 최적의 타일링 방식과 메모리 동작을 선택함으로써 베이스라인 대비 1.84배-3.03배 더 높은 에너지 효율을 달성한다.

서지기타정보

서지기타정보
청구기호 {MEE 24063
형태사항 iii, 23p : 삽도 ; 30 cm
언어 영어
일반주기 저자명의 한글표기 : 김재영
지도교수의 영문표기 : Joo-Young Kim
지도교수의 한글표기 : 김주영
Including appendix
학위논문 학위논문(석사) - 한국과학기술원 : 전기및전자공학부,
서지주기 References : p. 20-21
주제 Energy efficiency
Reconfigurable eDRAM
Framework
Processing-in-memory
Retention time
에너지 효율성
재구성 가능한 eDRAM
프레임워크
프로세싱-인-메모리
데이터 보존 시간
QR CODE

책소개

전체보기

목차

전체보기

이 주제의 인기대출도서