서지주요정보
(A) reconfigurable 1T1C eDRAM-based spiking neural network computing-in-memory processor for high system-level efficiency = 높은 시스템 효율을 위한 재구성 가능한 스파이킹 심층 신경망 인메모리 1T1C eDRAM 프로세서
서명 / 저자 (A) reconfigurable 1T1C eDRAM-based spiking neural network computing-in-memory processor for high system-level efficiency = 높은 시스템 효율을 위한 재구성 가능한 스파이킹 심층 신경망 인메모리 1T1C eDRAM 프로세서 / Seryeong Kim.
발행사항 [대전 : 한국과학기술원, 2023].
Online Access 원문보기 원문인쇄

소장정보

등록번호

8041289

소장위치/청구기호

학술문화관(도서관)2층 학위논문

MEE 23124

휴대폰 전송

도서상태

이용가능(대출불가)

사유안내

반납예정일

리뷰정보

초록정보

Spiking Neural Network (SNN) Computing-In-Memory (CIM) was proposed for high macro-level energy efficiency. However, system-level energy efficiency is limited by EMA due to a large intermediate activation footprint requirement. To reduce the EMA, a large capacity SNN CIM is needed to load tons of weights in the CIM. This paper proposes a high-density 1T1C eDRAM-based SNN CIM processor for supporting high system-level energy efficiency with two key features: 1) High-density and low-power Reconfigurable Neuro-Cell Array (ReNCA) for memory and SNN peripheral logic using a charge pump and reusing 1T1C cell array, achieving 41% area and 90% power reduction compared to previous work. 2) Reconfigurable CIM architecture with dual-mode ReNCA and Dynamic Adjustable Neuron Link (DAN Link) for layer fusion increases system-level efficiency including intermediate and weight EMA. It achieves high system-level energy efficiency of 157.15TOPS/W at CIFAR 10 (ResNet18).

본 논문은 기존 심층 신경망 (DNN) 기반 메모리 내 컴퓨팅 프로세서 (CIM) 보다 높은 시스템 레벨 에너지 효율을 달성하는 스파이킹 신경망 (SNN) 기반 CIM 프로세서를 제안한다. 기존 SNN CIM 프로세서는 큰 셀 (Cell) 면적, 주변 회로 (Peripheral) 면적으로 인해 높은 시스템 레벨 에너지효율을 달성할 수 없었다. 본 논문은 시스템 레벨 에너지 효율적인 SNN CIM 프로세서를 위한 2가지 주요 기능을 제안한다: 1) 1T1C eDRAM 기반 SNN CIM과 charge pump 기반 peripheral 회로를 통해 마크로 면적을 41% 줄일 수 있다. 2) 두가지 모드의 셀 어레이 (Cell array)를 통해 재구성가능한 CIM 아키텍쳐를 제안하여 다양한 심층신경망 레이어 크기에 맞춰 2.82배 높은 FoM (Mb/mm2× TOPS/mm2)을 달성할 수 있다. 제안된 SNN CIM 프로세서는 28nm CMOS 기술로 시뮬레이션되었으며, 10.47mm$^2$을 차지한다. 결과적으로 157.15 TOPS/W 시스템 에너지 효율을 CIFAR 10 (ResNet-18)에서 달성한다.

서지기타정보

서지기타정보
청구기호 {MEE 23124
형태사항 iii, 18 p. : 삽도 ; 30 cm
언어 영어
일반주기 저자명의 한글표기 : 김세령
지도교수의 영문표기 : Hoi-jun Yoo
지도교수의 한글표기 : 유회준
Including appendix
학위논문 학위논문(석사) - 한국과학기술원 : 전기및전자공학부,
서지주기 References : p. 17
주제 Processing-in-memory
Computing-in-memory
Spiking neural network
1T1C
DRAM
Reconfigurable
System efficiency
PIM
뉴로모픽
1T1C
메모리
재구성가능한
QR CODE

책소개

전체보기

목차

전체보기

이 주제의 인기대출도서